От диоксида кремния к оксиду гафния

28 февраля 2014 в 12:58

Вероятное будущее производства микроэлектроники: безмасочная многолучевая электронная литография от Mapper Lithography

  • IT-инфраструктура

Кто-то вероятно уже слышал о том, что Роснано в конце 2012-го года инвестировала в компанию-разработчика оборудования электронной литографии Mapper Lithography. Что и как они делают, спасет ли это отечественную микроэлектронную промышленность - узнаем в этой статье.

По стоимости конечного аппарата - производитель ориентируются на стоимость, сравнимую с EUV сканерами из расчета на 1 пластину в час (~500тыс$/wph). Т.к. максимальная производительность у Mapper на одной установке получается 10 пластин в час, для получения тех же ~100 пластин в час - систему предлагается ставить в нескольких экземплярах.

Когда система пойдет в серийное производство - можно ожидать дальнейшего снижения стоимости, т.к. тут нет самых больных мест оптической фотолитографии - источника света (и EUV и ArF лазеры стоят больших денег), сложного и чудовищно дорогого объектива и фотошаблонов, которые нужно изготавливать для каждого нового типа изготовляемых микросхем. А электронная микрооптика - изготовляется серийно хоть в миллионе экземпляров без проблем.

Появление таких систем - обещает также снизить стоимость мелкосерийных микросхем, появится альтернатива FPGA с намного бОльшей производительностью.

Особенно такие системы нравятся военным и идеально вписываются в текущую российскую концепцию «маленького микроэлектронного производства двойного назначения». Однако, радоваться рано - Роснано лишь один из инвесторов и производитель в любом случае будет вынужден выполнять требования экспортного контроля всех стран, участвующих в разработке. А это значит, что получить такую систему в России по хорошему можно будет только для гражданских производств, а именно с ними (вернее с их отсутствием) у нас проблема - об этом я еще напишу подробнее.

Технологии полупроводников. Часть 2

Увеличение разрешающей способности

На протяжении последних десятилетий перед инженерами неизменно стояла задача уменьшения размеров кристаллов. Одним из способов является использование света с малой длиной волны, которое позволяет достичь большего разрешения. Нечто похожее можно наблюдать в электронном микроскопе. Использующиеся в нем короткие волны де Бройля обеспечили микроскопу выдающуюся разрешающую способность, которая во много раз превышает разрешение любого оптического микроскопа. Аналогично в фотолитографии можно повысить разрешение посредством использования источников света, генерирующих короткие волны.

График зависимости разрешения от длины волны

В этой области инженерам удалось добиться значительного прогресса. Начиналось все с ртутных ламп, которые генерировали ультрафиолетовый свет с длиной волны порядка 400 нм. После того как потенциал таких ламп был исчерпан, индустрия переключилась на использование лазеров, которые смогли не только обеспечить более высокую разрешающую способность, но и ускоряли весь процесс производства. Первым таким устройством стал криптоно-фторидный лазер, генерирующий длину волны около 248 нм. Следующим шагом было использование аргоно-фторидного лазера. Длина волны в этом случае составляла всего 193 нм. Увы, но для того чтобы использовать еще более короткие волны (например, глубокий ультрафиолет) весь литографический процесс необходимо проводить в вакууме. Причина этого заключается в том, что воздух поглощает все излучение с длиной волны меньше 186 нм. На практике даже излучение с длиной волны 193 нм, которое вырабатывается аргоно-фторидным лазером, отчасти поглощается воздухом, что можно видеть на графике ниже.

Излучение с длиной волны 185 нм и меньше поглощается воздухом

Иммерсионная литография

Еще одним способом увеличения разрешающей способности является иммерсионная литография. Разные производители начали использовать эту технологию в разное время. Это произошло в период между выпуском первых 65-нм и 32-нм чипов. Иммерсионная литография является относительно простым процессом. Его смысл заключается в том, что воздушное пространство между последней линзой и пленкой фоторезиста заполняется жидкостью с показателем преломления больше единицы. Благодаря этому повышается числовая апертура оптической системы, потому как свет от источника лучше рассеивается. Кстати, в качестве иммерсионной жидкости, как правило, используется высокоочищенная (деионизированная) вода.

Нужно отметить, что в деионизированной воде должны отсутствовать какие-либо газы, поскольку под влиянием высокой температуры и давления они могут стать причиной появления пузырьков между линзой и пластиной. В этом случае коэффициент преломления в воде может непредсказуемо изменяться, что приведет к дефектам литографии. К слову, излучение с длиной волны 193 нм также может ионизировать воду, тем самым провоцируя реакции с фоторезистом.

Увы, но с появлением фотолитографии в глубоком ультрафиолете (EUV, Extreme ultraviolet lithography) использовать метод иммерсионной литографии стало невозможным, поскольку использующиеся жидкости поглощают всю выделяемую энергию.

Мультипаттернинг

А вот технологию мультипаттернинга (Multiple Patterning) использовать вместе с фотолитографией в глубоком ультрафиолете уже возможно. При этом существует далеко не один способ ее реализации. Суть мультипаттернинга заключается в следующем. К примеру, если определенная система умеет печатать линии на расстоянии 64 нм друг от друга за один проход, то во время второго прохода она сможет нанести еще две такие же линии с таким же интервалом, причем одна из них будет располагаться между линиями первого прохода. Как итог, всего будет нанесено 4 линии на расстоянии 32 нм друг от друга.

Одним из основных способов мультипаттернинга является двойное формирование рисунка LELE (Litho-Etch, Litho-Etch). В процессе LELE используется два отдельных фоторезистивных слоя. Во время первого прохода литография производится на твердой маске, после чего следует проявление. Очень важно использовать именно твердую маску, так как во время второго прохода используется еще один слой фоторезиста, который впоследствии также экспонируется и проявляется. Если бы не твердая маска, то после применения второго слоя фоторезиста от первого рисунка ничего бы не осталось. Что касается технологии LFLE (Litho-Freeze, Litho-Etch), то она является своего рода модификацией LELE. В LFLE не используется твердая маска. После начального литографического процесса фоторезист замораживают, покрывая его определенным химическим веществом, а затем проявляют. Тем самым первый резистивный слой отделяется от второго. После этого применяется второй литографический проход, и процесс завершается.

На этом рисунке можно увидеть разницу между процессами LELE и LFLE

Помимо LELE и LFLE, применяется способ под названием SADP (self-aligned double patterning) - двойное формирование рисунка с самовыравниванием. Этот способ также состоит из двух этапов. На первом шаге происходит травление временных шаблонов, которые впоследствии становятся линиями травления в финальном шаблоне. После этого временные шаблоны заполняются твердой маской, к которой несколько позже применяется процесс травления для экспонирования боковых стенок линий временного шаблона. Затем временный шаблон удаляется, а к экспонированному оксиду также применяется процесс травления. В результате получаются линии, расположенные вдвое ближе друг к другу, причем результат достигается только за один литографический проход.

В названии технологии SADP не зря указано слово «самовыравнивание». Благодаря тому, что после наложения временного шаблона нет повторного процесса экспонирования, беспокоиться о выравнивании линий не приходится. Вдобавок ко всему, из-за того, что боковые стенки создаются с помощью твердой маски, результирующие линии получаются максимально ровными и точными.

Мультипаттернинг может показаться идеальным способом дальнейшего увеличения разрешающей способности, однако это не совсем так. Главный его недостаток (и весьма существенный) - его дороговизна. Даже из-за самого минимального несоответствия выравниваний между двумя шаблонами пластина приходит в негодность.

Наглядное сравнение LELE- и SADP-процессов

Вычислительная литография

Вычислительная литография представлена двумя основными методами: технологией фазосдвигающихся масок (phase shifting masks, PSM) и оптической коррекцией близости (optical proximity correction, OPC). Суть первой заключается в коррекции толщины отдельных пикселей маски для изменения их прозрачности, что изменяет фазу проходящего сквозь них света. В результате достигается больший контраст между экспонированными и неэкспонированными зонами, что увеличивает разрешающую способность.

Сравнение фазосдвигающихся и обычных масок

Процесс оптической коррекции близости кардинально отличается от технологии фазосдвигающихся масок. По сути, эти два метода вообще не связаны между собой. Одним из самых больших недостатков литографии является то, что рисунок с фотомаски переносится на фоторезист с искажениями. Например, ширина полученных линий во многом зависит от плотности шаблона около этих самых линий. В итоге результирующие полосы, как правило, получаются более узкими и располагаются не совсем так, как на маске. Технология OPC как раз отвечает за коррекцию искажений. С ее помощью создается такая фотомаска, чтобы в итоге все элементы располагались на нужных позициях.

Синим цветом на схеме обозначен предполагаемый паттерн, зеленым - скорректированный с помощью OPC паттерн, а красным - финальный паттерн

От SOI к FINFET

По мере того как уменьшаются размеры транзисторов, факторы, которые не имели особого значения в прошлом, становятся все более значимыми. Одной из самых больших проблем является ток утечки.

Прежде всего, нужно отметить, что поведение электронов больше похоже на поведение системы из квантовой механики, нежели из классической механики. Это приводит, например, к туннельному эффекту (quantum tunneling), когда электроны проходят через изолирующие слои. И если раньше туннельный эффект не оказывал столь значительного влияния, то с переходом на более тонкие техпроцессы проблема постоянно возрастала.

Всего существует пять эффектов короткого канала. Наибольший интерес для полупроводниковой индустрии представляет DIBL-эффект (drain-induced barrier lowering). Суть этого эффекта заключается в том, что из-за небольшой длины канала напряжение, которое применяется к стоку, может оказывать влияние и на исток, потому как сток сам по себе выступает в роли конденсатора.

DIBL-эффект

Это означает, что эффективность затвора в плане контроля протекающего тока значительно снижается. При этом сдвигается и уровень порогового напряжения. Пороговое напряжение представляет собой то значение, когда ток в канале начинает расти экспоненциально по мере увеличения напряжения на затворе. Сдвиг уровня порогового напряжения также приводит к тому, что к затвору необходимо применять большее напряжение для того, чтобы увеличить ток в канале.

Решить эту проблему пытались путем легирования определенных областей между истоком и стоком, однако нужного эффекта это не принесло. Почему? Во-первых, в легированных областях был довольно большой разброс электронов, и сами частицы становились менее подвижными. Во-вторых, легирование привело к тому, что пороговое напряжение у каждого транзистора довольно сильно различалось.

Так или иначе, в результате DIBL-эффекта резко падает производительность. Для предотвращения действия эффектов короткого канала существует несколько технологий: растянутый кремний (straining silicon), кремний на изоляторе SOI (silicon on insulator), металлический затвор с высоким значением диэлектрической константы (high-k metal gate) и FINFET.

Кремний на изоляторе (SOI)

Суть метода SOI (кремний на изоляторе) заключается в использовании трехслойной подложки со структурой кремний-диэлектрик-кремний вместо обычной монолитной кремниевой пластины. Этот метод имеет свои преимущества и недостатки.

Технология SOI

Главным недостатком SOI, как и в случае с мультипаттернингом, является высокая стоимость. Кроме этого, из-за того, что транзисторы располагаются на изолирующем слое, рассеивание выделяемого им тепла не столь эффективно, как в случае с монолитным кремнием. Кстати, это стало причиной тому, что компания AMD вернулась к использованию монолитного кремния.

Растянутый кремний

Если метод SOI утратил былые позиции, то технология растянутого кремния, наоборот, становится популярнее. Путем помещения германий-кремния (SiGe) или карбида кремния (SiC) в исток и сток кремний в канале растягивается, эффекты электростатических сил в нем сокращаются. Носители заряда становятся более подвижными, что увеличивает производительность транзистора. Существует далеко не один способ реализации технологий, но смысл остается тем же.

Впервые технология использовалась компанией Intel при производстве 90-нм процессоров в 2003 году.

Сравнение кристаллических решеток кремния и растянутого кремния

Металлические затворы и материалы с высоким показателем диэлектрической константы

Применение металлических затворов и материалов с высоким показателем диэлектрической константы началось с 45-нм процессоров Intel Penryn, которые увидели свет в 2007 году. Почему же эти технологии так важны? Тут опять необходимо вернуться к квантовой механике. Уменьшая расстояние между пластинами конденсатора, увеличивается его емкость. При этом эффект поля усиливается, и транзистор переключается быстрее. Однако при малой толщине случается так, что электроны начинают туннелировать из затвора в кремниевый канал, минуя на своем пути изолятор. Это приводит к тому, что токи утечки находятся на достаточно высоком уровне, даже если транзистор не переходит из одного состояния в другое. Поэтому уменьшать толщину еще больше становится невозможно.

Для того чтобы это все-таки было возможно, и применяются high-k диэлектрики. Обратной стороной медали является то, что использование таких материалов приводит к определенным сложностям в производстве. Возникают проблемы с формированием каналов, поэтому ради увеличения производительности транзистора необходимо использовать металлические затворы.

Схема стандартного транзистора (слева) и транзистора с металлическим затвором и high-k диэлектриком (справа)

FINFET

С переходом на 22-нм техпроцесс влияние эффектов короткого канала еще больше увеличилось. И хотя с помощью металлических затворов и high-k диэлектриков удается уменьшить токи утечки и улучшить производительность транзистора, остается проблема контроля за каналом со стороны затвора, поскольку его размеры относительно подложки постоянно уменьшаются. Исправить это могут Tri-Gate транзисторы, в которых структура канала немного видоизменена и похожа на плавник (fin). Увидеть отличия в дизайне Tri-Gate и обычного транзистора можно на картинках ниже.

Схема традиционного планарного транзистора

Схема Tri-Gate транзистора

Основным плюсом Tri-Gate транзистора является то, что влияние DIBL-эффекта значительно снижается. Это также означает, что больше нет необходимости легировать канал. Следовательно, пороговые напряжения у каждого транзистора будут одинаковыми. Кроме этого, увеличивается и производительность транзистора за счет более быстрого перехода между состояниями.

Смотрим в будущее

Наконец, настало время поговорить, что ждет нас и всю полупроводниковую индустрию в ближайшем будущем. Скорее всего, производство 10-нм процессоров станет лебединой песней для 193-нм иммерсионной литографии. Огорчаться не стоит, поскольку технология и так используется намного дольше, чем предрекали специалисты. Что же может прийти ей на смену? Это литография в глубоком ультрафиолете, нанопечатная литография (nanoimprint lithography) и электронная литография (electron-beam lithography).

Литография в глубоком ультрафиолете

В отличие от иммерсионной литографии, этот метод использует излучение с длиной волны около 13,5 нм. Столь короткие волны и порождают массу проблем. Во-первых, источники глубокого ультрафиолета не являются лазерами. Это значит, что энергоэффективность такого источника света во много раз ниже, чем у современных лазеров. Поэтому для генерации необходимой энергии потребуются очень мощные источники. Во-вторых, воздух поглощает глубокий ультрафиолет, поэтому литография должна осуществляться в вакууме. Кроме того, процесс производства в вакууме не столь быстрый, как в воздухе. За один час в вакууме производится значительно меньше пластин, нежели в воздушном пространстве. Вдобавок ко всему в вакууме невозможно использовать линзы - их заменяют зеркалами.

Наконец, глубокий ультрафиолет - своего рода ионизирующая радиация. Это значит, что когда молекула поглощает EUV-свет, то ее электроны могут высвобождаться. К сожалению, это снижает разрешающую способность, поскольку фотоэлектроны двигаются через фоторезист случайным образом. В целом все недостатки литографии в глубоком ультрафиолете снижают ее разрешение примерно до 15-19 нм. А для того чтобы достичь разрешающей способности хотя бы 10 нм, требуется двойной паттернинг, о котором шла речь выше.

Нанопечатная литография

Нанопечатная литография является достаточно простым методом. Здесь изображение образуется за счет механической деформации полимерного покрытия штампом, после чего к деформированному покрытию применяется травление. Казалось бы, ничего сложного, но и здесь есть свои нюансы. Во-первых, нанопечатная литография, как и технология EUV, тоже должна выполняться в вакууме. Во-вторых, после многократного использования штампа его разрешающая способность снижается. Ну и в-третьих, для производства самого штампа требуется очень точная литография.

Электронная литография

Электронная литография - это метод нанолитографии с использованием электронного пучка. Главным ее преимуществом является то, что она позволяет достичь невероятно высоких разрешений (менее 10 нм). С помощью электронной литографии даже можно переносить шаблон напрямую на кремниевую пластину, минуя этап с фоторезистом.

Увы, но основным недостатком технологии является ее низкая производительность. Электронная литография позволяет получать всего около десяти кремниевых пластин в час. Для сравнения: большинство других современных методов литографии имеют производительность более 100 пластин в час. Для того чтобы понять, насколько медленным является электронная литография, приведем пример. Используя один-единственный электронный пучок, литография одной 300-миллиметровой пластины заняла бы порядка 22 лет! Конечно, для ускорения процесса можно одновременно использовать тысячи пучков. Однако в данном случае одни электроны будут влиять на траектории других. Поэтому здесь необходимы сложные предварительные расчеты.

Также нужно отметить, что кремний постепенно исчерпывает свой потенциал. Существует ряд материалов, которые, возможно, станут его заменой. Это и графен, и фосфорен, и германий, и молибденит. На сегодняшний день наиболее перспективным материалом выглядит графен, однако и тут есть свои сложности. Дело в том, что он является полуметаллом. Следовательно, у него нет запрещенной зоны. Кроме этого, графен не запущен в массовое производство. То же самое касается и фосфорена. Более подробную информацию об альтернативных кремнию материалах вы можете узнать из этой статьи .

Не стоит исключать и то, что в ближайшем будущем механизм работы транзистора также изменится. Вполне возможно, работа транзистора будет основываться на туннельном эффекте.

Схема транзистора, основанного на туннельном эффекте

Новая технология позволит создать микропроцессоры, работающие в 30 раз быстрее

Андрей Борзенко

Не раз исследователи и аналитики предсказывали, что в ближайшие годы процесс миниатюризации микросхем достигнет физических пределов, которые уже нельзя преодолеть. Однако пока этого не случилось. Высочайшая степень интеграции позволяет год от года наращивать мощность микропроцессоров и выпускать кристаллы памяти, способные хранить миллиарды бит данных. Так, согласно сетевому графику развития индустрии, утвержденному полупроводниковой промышленной ассоциацией SIA (Semiconductor Industry Association), в 2002 г. стандартными проектными нормами должны стать 0,13 мкм, в 2005 г. - 0,1 мкм, в 2008 г. - 0,07 мкм и в 2014 г. - 0,035 мкм. Последние цифры, в частности, означают, что при производстве терабитных микросхем на одном квадратном сантиметре будет расположено до 390 млн. транзисторов.

Тем не менее, помимо чисто физических проблем, процесс уменьшения размеров транзисторов и увеличения степени их интеграции уже наталкивается на ограничения, связанные с особенностями производства микросхем. Вообще говоря, свойства устройств, которые создаются на одной кремниевой пластине, равно как и на разных пластинах, не идентичны. Отклонения могут возникать на каждом из этапов производства. Характер вероятных различий между производимыми кристаллами и частота появления просто бракованных устройств могут стать реальной преградой на пути дальнейшей миниатюризации элементов интегральной схемы. Отметим, что миниатюризация касается не только длины и ширины элемента схемы, но и толщины самого кристалла. Транзисторы и соединения на нем реализуются с помощью серии уровней, в современных микросхемах их может быть пять или шесть. Уменьшение размеров транзисторов и увеличение плотности их размещения на кристалле влечет за собой увеличение числа уровней. Однако чем больше слоев в схеме, тем тщательнее должен быть контроль за ними в процессе производства, поскольку на каждый из уровней будут оказывать влияние нижележащие. Стоимость усовершенствования средств контроля и создания соединений между множеством уровней может оказаться фактором, сдерживающим увеличение числа слоев.

Кроме всего прочего, усложнение интегральных схем требует совершенствования условий производства, к которым и так предъявляются беспрецедентно высокие требования. Необходим более точный механический контроль за позиционированием исходной кремниевой пластины. Стерильное помещение (так называемая чистая комната), где создаются микросхемы, должно стать еще чище, дабы исключить попадание мельчайших частичек пыли, способных разрушить сложнейшую схему.

Овес нынче дорог...

Стоимостные и технологические вопросы в полупроводниковой промышленности тесно взаимосвязаны. Для развития современных технологий микроэлектроники и создания новых производств нужны огромные инвестиции для приобретения специального технологического оборудования и строительства чистых помещений. Сложность и стоимость полупроводникового производства постоянно растут. Самую высокую долю в стоимости полупроводниковой фабрики составляет технологическое и контрольно-измерительное оборудование. По некоторым оценкам, процентная доля этого оборудования в общей стоимости предприятия может достигать 50-70%. Считается, что для наращивания производства полупроводниковым фирмам необходимо выделять на капитальные нужды более 20% своего годового дохода, а меньших средств достаточно лишь для его поддержания. Например, компания Sony сообщила, что в этом году она собирается инвестировать в производство полупроводников более 880 млн. долл. IBM также объявила о масштабных планах расширения своего полупроводникового производства. Для этих целей она предполагает выделить 5 млрд. долл. Причем половина указанной суммы пойдет на строительство современного завода в Ист-Фишкилле, на котором будут использоваться все последние достижения в области производства полупроводников, включая технологию медных межсоединений, SOI и технологию высокоэффективного изолятора. Пуск нового завода запланирован на вторую половину 2002 г., а на полную мощность он будет выведен в начале 2003 г.

Intel объявила о том, что в текущем году она планирует инвестировать в полупроводниковое производство около 6,8 млрд. долл., что почти в два раза больше объема прошлогодних инвестиций, составившего 3,4 млрд. долл. Компания намерена вложить 2 млрд. долл. в расширение своего производства в Рио-Ранчо (шт. Нью-Мексико). Существующие производственные площади должны быть увеличены более чем на 90 тыс. кв. м, включая свыше 12 тыс. кв. м, отведенных под чистую комнату. Расширение связано с переходом на новый технологический процесс с соблюдением проектных норм 0,13 мкм для изготовления микропроцессоров на 300-миллиметровых подложках.

Технология литографии

Сегодня процесс изготовления микросхем включает несколько технологических этапов, в число которых входят: литография, ионная имплантация, диффузия и окисление, осаждение, травление, очистка, планаризация и измерения. Важнейшие научные и инженерные разработки ведутся в направлении усовершенствования ключевого этапа производства интегральной схемы - литографии, поскольку именно здесь реально возможно достижение определенного предела в обозримом будущем. Как отмечают эксперты, литография полностью исчерпает свои возможности уже к 2003 г.

Развитие литографической технологии со времени ее изобретения в начале 70-х шло в направлении сокращения длины световой волны. Это позволяло уменьшать размеры элементов интегральной схемы. С середины 80-х в фотолитографии используется ультрафиолетовое излучение, получаемое с помощью лазера. Для того чтобы нанести рисунок схемы на пластину, с помощью управляемой компьютером машины (степпера) выполняется фотолитография. Целью ее является создание в слое материала фоторезиста "окна" заданной конфигурации для доступа травителя к расположенной под этим слоем полупроводниковой пластине с окисной пленкой. Такие "окна" образуются при экспонировании фоторезиста в потоке ультрафиолетового излучения лазера, в результате фоторезист теряет (или приобретает) растворимость. Конфигурацию "окон" задают соответствующие маски, после применения которых полученное изображение конденсируется с помощью специальной системы линз.

Именно она уменьшает заданный на маске шаблон до микроскопических размеров схемы. Кремниевая пластина закрепляется на позиционном столе под системой линз и перемещается с его помощью таким образом, чтобы были последовательно обработаны все размещенные на пластине микропроцессоры. Ультрафиолетовые лучи от лазера проходят через свободные пространства на маске. Под их действием светочувствительный слой в соответствующих местах пластины приобретает способность к растворению и затем удаляется органическими растворителями. Современная фотолитографическая машина обрабатывает за один час несколько десятков восьмидюймовых полупроводниковых пластин.

Сейчас большинство кристаллов производятся с помощью ультрафиолетовых лучей с длиной волны 0,248 мкм. Для создания ряда кристаллов разработана литографическая технология, обеспечивающая длину волны 0,193 мкм. Однако когда фотолитография перешагнула границу 0,2 мкм, возникли серьезные проблемы, которые впервые за историю этой технологии поставили под сомнение возможность ее дальнейшего использования. Например, при длине волны меньше 0,2 мкм слишком много света поглощается светочувствительным слоем, поэтому усложняется и замедляется процесс передачи шаблона схемы на процессор. Подобные проблемы побуждают исследователей и производителей искать альтернативы традиционной литографической технологии. Например, возможность замены ультрафиолетовых лучей рентгеновскими исследуется в научных лабораториях США уже более двух десятилетий.

Выход найден

Одна из технологий, названная EUV (Extreme Ultra Violet) и объединившая вокруг себя несколько известных компаний, нацелена на улучшение процесса фотолитографии при производстве микросхем. Ожидается, что тактовая частота процессоров, которые будут изготовлены с использованием новой технологии, достигнет 10 ГГц в 2005-2006 гг.

В 1996 г. корпорация Intel начала финансирование исследований по EUV, а в 1997 г. вместе с компаниями Motorola и AMD организовала консорциум EUV LLC (Limited Liability Company). Micron Technology и Infineon Technologies присоединились к этому консорциуму в начале 2000 г., а IBM - только в 2001 г. Стремительный прогресс программы EUV LLC привлек к ней интерес индустрии и обеспечил ей широкую поддержку.

Весной этого года представители компьютерной индустрии и правительственных структур США объявили о завершении работ над прототипом первой установки для производства кристаллов с использованием EUV. Над созданием экспериментального тестового стенда (Engineering Test Stand, ETS) совместно работали лаборатории, относящиеся к Министерству энергетики США, и консорциум EUV LLC. Кроме того, три национальные лаборатории - им. Лоуренса в Беркли (Lawrence Berkeley National Laboratory), им. Лоуренса в Ливерморе (Lawrence Livermore National Laboratory) и "Сандиа" (Sandia National Laboratories) - объединили свои усилия в работе над EUV в рамках Виртуальной национальной лаборатории (Virtual National Laboratory).

Как уже отмечалось, современные установки для шагового мультиплицирования на пластину с применением глубокого ультрафиолетового излучения (Deep Ultra Violet, DUV) - машины, которые печатают схемы на кремниевых подложках, используют источники света с длиной волны 248 нм. Длина волны EUV-излучения около 13 нм, т. е. примерно в 20 раз меньше. Переход с DUV- на EUV-литографию обеспечивает более чем 10-кратное уменьшение длины волны и переход в диапазон, где она сопоставима с размерами всего нескольких десятков атомов. Применяемая сейчас литографическая технология позволяет наносить шаблон с минимальной шириной проводников 0,1 мкм, в то время как EUV-литография делает возможной печать линий гораздо меньшей ширины - до 0,03 мкм. Управлять ультракоротким излучением не так просто, как кажется. Поскольку EUV-излучение хорошо поглощается стеклом, то новая технология предполагает использование серии из четырех специальных выпуклых зеркал, которые уменьшают и фокусируют изображение, полученное после применения маски. Каждое такое зеркало содержит 80 отдельных металлических слоев толщиной примерно в 12 атомов.

В течение следующего года на стенде ETS будут работать партнеры консорциума EUV LCC и поставщики литографических систем, чтобы усовершенствовать технологию и подготовить ее к созданию прототипа промышленной установки, отвечающей требованию индустрии к крупносерийному производству.

Чтобы сделать EUV-технологию доступной для широкого использования, предстоит еще многое в ней усовершенствовать. В частности, необходимо разработать механизм, способный захватывать невидимый свет, создать платформы для совмещения картинок на различных этапах изготовления микросхемы, систему зеркал и бездефектных масок, а также новые средства контроля и измерения.

2009-й войдет в историю развития процессоров как год внедрения в массовое производство 32-нм техпроцесса. Напомним, что первая микросхема памяти, созданная по 32-нм техпроцессу, была продемонстрирована компанией Intel еще в 2007 году, а в феврале 2009-го она представила уже рабочую версию процессора, созданного по 32-нм техпроцессу. По­этому уже сейчас можно утверждать, что ввод в массовое производство 32-нм техпроцесса состоится по плану и в конце этого года мы увидим серийные ­32-нм процессоры. Еще пять лет назад считалось, что в основе 32-нм техпроцесса будет лежать так называемая EUV-литография с источником излучения 13,5 нм и что DUV-литографию на основе 193-нм лазера невозможно использовать в 32-нм техпроцессе из-за ограничения в разрешающей способности. Но, как это нередко бывает в физике, невозможное стало возможным! И 32-нм техпроцесс, и следующий за ним 22-нм техпроцесс будут основаны именно на DUV-литографии на базе 193-нм лазера. О том, как такое стало возможным, мы расскажем в этой статье.

От диоксида кремния к оксиду гафния

Начиная с 60-х годов, то есть со времени создания первой микросхемы, и по нынешний день в микросхемах использовались так называемые планарные (плоские) полевые транзисторы с подзатворным диэлектриком на основе диоксида кремния. При этом все усилия электронной промышленности были направлены на то, чтобы уменьшить размеры самого транзистора. Так, в 1965 году в микросхемах интегрировалось всего три десятка транзисторов, а современный процессор Intel насчитывает уже сотни миллионов транзисторов на кристалле. Конечно, в процессе эволюции планарных транзисторов менялись не только их размеры. Существенные изменения претерпели и используемые материалы, и даже геометрия самих транзисторов. Что ж, все логично. Для того чтобы выдержать диктуемые законом Мура экспоненциальные темпы увеличения числа транзисторов в одной микросхеме, необходимо разрабатывать новые технологии производства.

Естественно, уменьшение размеров транзистора сказывается и на других его характеристиках. Так, если считать, что длина затвора транзистора уменьшается в M раз, то во столько же раз уменьшаются и толщина слоя диэлектрика, отделяющего область затвора от кремниевой подложки, и ширина затвора, и рабочее напряжение затвора. Кроме того, в M раз возрастает скорость работы транзистора и квадратично увеличивается плотность размещения транзисторов на кристалле, а рассеиваемая мощность уменьшается в M2 раз.

Таким образом, очевидно, что уменьшение размеров транзисторов положительно сказывается на их характеристиках.

Основная проблема, связанная с уменьшением размеров транзистора, упирается в то, что экспоненциальное увеличение числа транзисторов на кристалле приводит к экспоненциальному росту потребляемой мощности и, как следствие, к перегреву микросхемы. Причин тому несколько, но все они имеют один и тот же корень: уменьшение размеров транзистора приводит к появлению токов утечки. Токи утечки возникают через слой диэлектрика, отделяющего область затвора от кремниевой подложки, а также между истоком и стоком в «выключенном» состоянии транзистора.

Расскажем о причинах возникновения токов утечки в области затвора более подробно. Слой диэлектрика между затвором и кремнием можно рассматривать как плоский конденсатор, емкость которого зависит от диэлектрической проницаемости вещества, толщины слоя диэлектрика и площади затвора по формуле:

где S - площадь затвора, t - толщина слоя диэлектрика, k - диэлектрическая проницаемость слоя диэлектрика.

Понятие емкости затвора очень важно, так как в том числе и от нее зависит величина тока, проходящего между истоком и стоком. Действительно, поскольку емкость определяет способность накапливать заряд, то при одном и том же напряжении в случае большей емкости можно накопить больший заряд в канале проводимости, а следовательно, и создать больший ток. Таким образом, большая емкость позволяет снижать напряжение на затворе, что немаловажно при уменьшении размеров транзисторов. Кроме того, на ток в канале проводимости оказывает непосредственное влияние и длина самого канала: чем она меньше, тем больший ток можно получить.

По мере уменьшения размеров транзистора уменьшалась и толщина слоя диэлектрика. При этом между длиной канала и толщиной слоя диэлектрика соблюдается простое соотношение: толщина слоя диэлектрика приблизительно в 45 раз меньше длины канала.

Вплоть до настоящего времени в качестве диэлектрического слоя традиционно используется диоксид кремния, диэлектрическая проницаемость которого составляет 3,9. Однако уменьшение толщины слоя диэлектрика, которое приводит к возрастанию емкости затвора, то есть положительно сказывается на характеристиках транзистора, имеет и свои негативные последствия. Дело в том, что при достижении величины в несколько нанометров начинают сказываться эффекты туннелирования зарядов через слой диэлектрика, что приводит к возникновению токов утечки. В частности, в 45-нм техпроцессе производства транзисторов при использовании диоксида кремния в качестве подзатворного диэлектрика его толщина должна была бы составить порядка 1 нм, то есть примерно пять атомарных слоев.

Казалось бы, почему нельзя увеличить толщину диоксида кремния для уменьшения токов утечки? Ответ прост: это привело бы к уменьшению емкости затвора и необходимости увеличения управляющего напряжения, то есть фактически свело бы на нет все преимущества уменьшения размеров транзистора. Поэтому проблему возникновения токов утечки можно решить, только если вместо диоксида кремния использовать другие диэлектрические материалы, позволяющие создавать более толстые слои диэлектрика, но, тем не менее, обеспечивающие неизменную или даже более высокую емкость затворного конденсатора. Такие материалы должны иметь более высокую диэлектрическую проницаемость. Они получили название high-k-диэлектрики.

Пусть, к примеру, емкость конденсатора, образованного диоксидом кремния, равна:

где k ox - диэлектрическая проницаемость диоксида кремния, t ox - толщина слоя диоксида кремния.

Емкость конденсатора, образованного high-k-диэлектриком, составляет:

где k high-k - диэлектрическая проницаемость high-k-диэлектрика, t high-k - толщина слоя high-k-диэлектрика.

Для того чтобы емкости затворов с использованием диоксида кремния и high-k диэлектрика были равными, необходимо, чтобы выполнялось условие:

то есть чтобы толщина слоя high-k-диэлектрика была равна:

Таким образом, применение альтернативных материалов с более высокой диэлектрической проницаемостью позволяет во столько раз повысить толщину слоя диэлектрика по сравнению с толщиной диоксида кремния, во сколько раз диэлектрическая проницаемость вещества больше диэлектрической проницаемости диоксида кремния. Увеличение же слоя диэлектрика, в свою очередь, позволяет уменьшить токи утечки.

Впервые high-k-диэлектрики начали использоваться в транзисторах при переходе на 45-нм технологический процесс производства, который в этом смысле действительно стал революционным. Так, в 45-нм техпроцессе в качестве подзатворного диэлектрика применяется оксид гафния.

Заменить диоксид кремния на high-k-диэлектрик с целью снижения токов утечки не так просто, как может показаться. И дело в данном случае не в том, что для этого необходимо менять технологический процесс производства микросхем, а в том, что high-k-диэлектрики плохо сочетаются с поликристаллическим кремнием, из которого изготавливается затвор. В научной литературе есть сведения, что использование структуры «high-k/поликремний» приводит к возникновению высокого порогового напряжения в планарных транзисторах. Кроме того, наблюдается значительное снижение активности движения электронов через канал из-за возникновения так называемого поверхностно-оптического фонового режима (SO) и связанного с ним снижения эксплуатационных характеристик транзистора вследствие поляризации high-k-слоя и инверсии заряда материала канала.

В частности, при применении оксида гафния просто невозможно сформировать поликремниевый затвор. Да и с металлическими затворами поверх этого материала все непросто. Поэтому немало сил было потрачено на разработку структуры металлических затворов, которые можно формировать поверх диэлектрика на основе соединений гафния.

Собственно, в разработке такого сочетания high-k-диэлектрика и нового материала затвора и заключался революционный прорыв компании Intel. Сочетание high-k-диэлектрика и нового металлического затвора, в качестве которого используется силицид никеля, позволяет более чем в 10 тыс. раз по сравнению с диоксидом кремния снизить токи утечки, сохранив при этом высочайшие рабочие параметры транзисторов.

Как уже отмечалось, впервые high-k-диэлектрики в сочетании с металлическим затвором из силицида никеля были применены в 45-нм техпроцессе. Использование high-k-диэлектриков предусмотрено и в техпроцессах с проектной нормой 32 и 22 нм. Однако естественно, что в 32-нм техпроцессе речь идет уже о втором поколении high-k-диэлектриков и новом металлическои затворе. Собственно, второе поколение high-k- диэлектриков - этот тот же оксид гафния, но легированный особым образом. Пока Intel не раскрывает, чем именно легирован оксид гафния. В качестве металлического затвора применяется все тот же силицид никеля, но сделанный немного иначе, чем в 45-нм технологии.

193-нм иммерсионная литография: невозможное возможно

Пожалуй, самым невероятным фактом является то, что в 32-нм технологическом процессе производства будет использоваться 193-нм иммерсионная DUV-литография. Еще несколько лет назад никто бы не поверил, что такое вообще возможно. Причем представители компании Intel тоже говорили о необходимости перехода с DUV- на ЕUV-литографию, и казалось, что этот переход просто неизбежен. В разработку новой ЕUV-литографии были в свое время вложены просто колоссальные средства, однако, как это нередко бывает в науке, в последний момент стало понятно, что в самом начале была допущена принципиальная ошибка, которая фактически свела на нет все достижения в области EUV-литографии.

Так, еще в 2004 году компании Intel и Media Lario International S.A. (Италия) сообщили о соглашениях, направленных на разработку ключевых оптических компонентов для EUV-литографии. Тогда ожидалось, что EUV-литография будет внедрена в промышленных масштабах к 2009 году.

В 2005 году сообщалось, что компании Intel и Corning, Inc. заключили соглашение о разработке стеклянных основ фотомасок со сверхнизким распространением тепла ULE (Low Thermal Expansion), необходимых для EUV-литографии. Причем указывалось, что эти фотомаски будут использоваться именно в 32-нм техпроцессе.

Вообще, в свое время EUV-литография была признана консорциумом International Roadmap of Semiconductor Technology самой перспективной технологией литографии следующего поколения, которая будет реализована после нынешнего поколения инструментов литографии с длиной волны 193 нм.

Итак, прежде чем переходить к рассмотрению причин отказа от применения EUV-литографии, давайте вкратце напомним, что такое литография вообще и чем DUV-литография отличается от EUV-литографии.

Как известно, одним из важнейших этапов в производстве микросхем является литографический процесс. Литография - это технология, используемая для нанесения рисунка будущей микросхемы на слой фоторезиста посредством специальных литографических масок.

Важнейшей характеристикой литографического процесса является его разрешающая способность. От нее напрямую зависит минимальная толщина линии, которую можно нанести на фоторезисте. В современном производстве процессоров применяется проекционная литография, обеспечивающая высокое разрешение. В проекционной литографии используются линзы или зеркала, позволяющие проецировать рисунок маски-шаблона с уменьшением масштаба. Разрешающая способность проекционной литографии, то есть минимальная толщина линии, которую можно получить на фоторезисте, определяется критерием Релея:

где - длина волны источника излучения, NA - числовая апертура объектива, а k 1 - коэффициент пропорциональности, зависящий от типа фоторезиста и самого технологического процесса.

Из формулы для разрешающей способности оптической литографии следует, что более высокое разрешение можно получить за счет увеличения числовой апертуры проекционной установки или перехода к источникам излучения с более короткой длиной волны.

Однако увеличение числовой апертуры проекционной установки имеет негативное последствие. Дело в том, что кроме разрешающей способности литографический процесс характеризуется еще и глубиной резкости. Если разрешающая способность определяет характерный поперечный размер фокусировки, то глубина резкости - характерное расстояние фокусировки в продольном направлении. Глубина резкости вычисляется по формуле:

Как следует из данной формулы, увеличение числовой апертуры объектива негативно сказывается на уменьшении глубины резкости, а чем меньше глубина резкости, тем большую точность необходимо обеспечить при размещении пластины в проекционной установке, чтобы выдержать параллельность ее фокальной плоскости (плоскости фокуса) с точностью до долей микрометра. Поэтому единственный способ увеличить разрешающую способность литографического процесса при заданной глубине резкости заключается в том, чтобы перейти к источниками излучения с более короткой длиной волны.

Если говорить об источниках излучения, то при производстве процессоров в современной литографии используется коротковолновое ультрафиолетовое излучение лазера с длиной волны 193 нм (технологический процесс 180, 130, 90 и 65 нм). Эта литография получила название DUV (Deep UltraViolet - глубокое ультрафиолетовое излучение).

Для того чтобы увеличить разрешающую способность литографического процесса при использовании коротковолнового ультрафиолетового излучения с длиной волны 193 нм, применяют различные технологии улучшения разрешающей способности, например маски-шаблоны с фазовым сдвигом. В таких масках, которые, по сути, представляют собой голограммы, на одну из двух соседних прозрачных линий накладывается фазовый фильтр, сдвигающий фазу проходящей волны на 180°. В результате интерференции волн в противофазе происходит их взаимное ослабление в области между двумя экспонируемыми линиями, что делает их хорошо различимыми и повышает разрешающую способность (см. рисунок).

Шаблоны с фазовым сдвигом начали применять в 65-нм техпроцессе.

В результате предпринятых шагов по улучшению традиционной DUV-литографии выяснилось, что оптическую литографию с источником излучения 193 нм можно использовать и для 45-нм техпроцесса.

Однако при применении коротковолнового ультрафиолетового излучения с длиной волны 193 нм уже недостаточно применять лишь одни маски-шаблоны с фазовым сдвигом, чтобы достичь разрешающей способности, характерной для топологической нормы 32 нм (32-нм техпроцесс).

Именно поэтому считалось, что возможности оптической DUV-литографии ограничены техпроцессом 45 нм и переход на техпроцесс 32 нм потребует использования новых источников излучения. Так, предполагалось, что для литографии с проектной топологией 32 нм будет применяться так называемая ЕUV-литография (Extreme UltraViolet - сверхжесткое ультрафиолетовое излучение), которая основана на использовании ультрафиолетового излучения с длиной волны 13,5 нм.

Использование масок с фазовым сдвигом

Разработками в области ЕUV-литографии в компании Intel активно занимались с середины 1990-х годов. В частности, именно компания Intel в 1997 году сыграла решающую роль в формировании консорциума, разработавшего первый инженерный испытательный стенд EUV-литографии, и именно она установила первый в мире коммерческий аппарат EUV Micro Exposure Tool (MET) и пилотную линию по нанесению масок EUV, включающую инструменты восстановления масок и обнаружения дефектов в заготовках масок.

Переход с DUV- на EUV-литографию обеспечивает более чем 10-кратное уменьшение длины волны и переход в диапазон, где свойственные транзисторам размеры сопоставимы с размерами всего нескольких десятков атомов.

Однако с применением ЕUV-излучения связаны и некоторые проблемы. Поскольку свет с длиной волны 13,5 нм поглощается всеми материалами, в том числе стеклом, из которого изготавливаются традиционные линзы, в EUV-литографии используется полностью отражающая, а не пропускающая оптика. Новая технология предполагает применение серии из четырех специальных выпуклых зеркал, которые уменьшают и фокусируют изображение, полученное после использования маски. Каждое такое зеркало содержит 80 отдельных металлических слоев толщиной примерно в 12 атомов.

Маски, которые традиционно являются пропускающими, также должны быть и отражающими. Кроме того, вся система должна находиться в вакууме, поскольку световые волны диапазона EUV поглощаются воздухом.

Успехи в области освоения ЕUV-литографии позволили в лабораторных условиях наносить шаблон с минимальной шириной проводников 27 нм. Однако, как выяснилось, по таким показателям, как производительность, ресурс и воспроизводимость, ЕUV-литография существенно уступает DUV-литографии и пока не может использоваться в массовом производстве микросхем. Фактическая ошибка заключалась в том, что изначально данная технология была ориентирована на газоразрядные лазеры. И только полтора года назад вернулись к разработке плазменных источников излучения, которые позволят преодолеть указанные ограничения EUV-литографии.

Сейчас уже известно, что EUV-литография не будет применяться не только в 32-нм, но и в следующем, 22-нм техпроцессе.

Естественно, возникает вопрос, каким образом DUV-литография с 193-нм источником излучения позволяет получить разрешающую способность, характерную для 32- и 22-нм техпроцессов? Ведь очевидно, что использования одних лишь фазосдвигающих масок в данном случае уже недостаточно. Действительно, применение DUV-литографии в 32- и 22-нм техпроцессах стало возможным лишь благодаря существенным изменениям, позволяющим улучшить разрешающую способность. Так, в 32-нм техпроцессе будет использоваться не «сухая» проекционная DUV-литография, как в 45-нм техпроцессе, а иммерсионная литография. При иммерсионной литографии кремниевые пластины с нанесенным слоем фоторезиста помещаются в воду (жидкость). Идея заключается в том, что коэффициент преломления воды выше, чем коэффициент преломления вакуума, что эквивалентно уменьшению эффективной длины волны проходящего через воду излучения. Причем длина волны уменьшается ровно в n раз, где n - показатель преломления используемой жидкости. Уменьшение длины волны излучения позволяет повысить разрешающую способность литографии.

Пальма первенства в области иммерсионной литографии принадлежит компании IBM. Еще 20 февраля 2006 года она объявила о том, что в лабораторных условиях при применении иммерсионной литографии с источником излучения 193 нм были получены четкие линии шириной всего 29,9 нм, разделенные одинаковыми пробелами. В экспериментах IBM с использованием изготовленной установки, получившей звучное название NEMO, оптика и жидкость имели коэффициент преломления порядка 1,6, а коэффициент преломления фоторезиста составлял 1,7.

Конечно, в иммерсионной литографии есть свои технологические проблемы. Главная из них - это получение жидкости с высоким коэффициентом преломления. При этом жидкость не должна вступать в химическую реакцию с кремниевой подложкой и слоем фоторезиста. Кроме того, при применении иммерсионной литографии приходится учитывать такие негативные явления, как температурные флуктуации жидкости, что приводит к ее неоднородности и, как следствие, к рассеиванию проходящего излучения. Существуют и другие специфические проблемы.

В 32-нм техпроцессе производства в качестве жидкости в иммерсионной литографии будет использоваться вода с коэффициентом преломления 1,4. Для того чтобы обеспечить нужный уровень фильтрации жидкости и освободить ее от микроскопических пузырьков (произвести дегазацию), применяется огромная установка стоимостью примерно 30 млн долл. Проблема взаимодействия жидкости с фоторезистом решается за счет нанесения на слой фоторезиста специального покрытия, препятствующего проникновению образующейся при облучении фоторезиста кислоты в воду.

Как уже отмечалось, в иммерсионной литографии 32-нм техпроцесса используется вода. Однако уже сейчас созданы жидкости с коэффициентом преломления 1,8. Естественно, что применение жидкости с коэффициентом преломления 1,8 требует использования других типов фоторезиста.

Применение иммерсионной 193-нм литографии позволяет достичь разрешающей способности, необходимой в 32-нм техпроцессе. Однако для достижения разрешающей способности, характерной для 22-нм техпроцесса, одной лишь иммерсионной литографии будет недостаточно. Поэтому для повышения разрешающей способности в 22-нм техпроцессе будет применяться иммерсионная 193-нм литография в сочетании с технологией двойной экспозиции. Более того, технология двойной экспозиции будет использоваться и в 32-нм техпроцессе, но только для критически важных узлов.

Идея технологии двойной экспозиции заключается в следующем. Если применяемый литографический процесс не позволяет достичь требуемой разрешающей способности с использованием одной маски, то вместо одной литографической маски можно применять две маски и соответственно два процесса экспозиции фоторезиста. При этом возникает проблема совмещения масок, однако современные степперы обеспечивают совмещение масок с точностью до нанометра.

Фактически двойная экспозиция позволяет повысить разрешающую способность в два раза. Однако она требует вдвое больше и масок, и технологических операций. То есть, попросту говоря, двойная экспозиция получается в два раза дороже, чем одинарная. Но если использовать фоторезист с нелинейными свойствами, то можно сначала сделать двойную экспозицию, а потом уже приступать к этапам проявления и травления.

Таким образом, применение технологии двойной экспозиции позволяет повысить разрешающую способность литографического процесса без необходимости перехода к новым коротковолновым источникам излучения.

Компания ASML, ведущий мировой разработчик технологического оборудования для литографии, в частности, проекционных установок шагового мультиплицирования, поделилась своими взглядами на развитие техпроцесса изготовления интегральных микросхем в ближайшем будущем. По её словам, до сих подавляющее большинство чипмейкеров при изготовлении 10-нм схем предпочитают использовать традиционную иммерсионную фотолитографию. Технология литографии с применением крайнего ультрафиолетового излучения уже который год постоянно откладывает свой приход на рынок. И для этого есть причины.

Главным фактором, который позволяет до сих пор применять иммерсионную фотолитографию, является относительно невысокая стоимость технологического оборудования и всего процесса в целом. Так, например, ориентировочная стоимость EUV-степперов составляет от $100 до $120 млн, что вдвое выше ценников на “иммерсионные” установки мультиплицирования. Справедливости ради стоит отметить, что EUV-оборудования является модульным, то есть позволяет совершать его апгрейд по невысокой цене, а не приобретать полностью новые установки. Но этого явно недостаточно для того, чтобы всерьез заинтересовать чипмейкеров, которым пока техпроцесс с использованием иммерсионной литографии пока обходится дешевле “экстремального ультрафиолета”.

Ситуация должна измениться уже с приходом в массы 7-нм технологического процесса. Но сначала производители интегральных микросхем опробуют возможности EUV-оборудования на 10-нм технологии. Топологический размер в 10 нанометров для наиболее ответственных операций литографии потребует неоднократного проецирования изображения на пластину — три или даже четыре повторения. Следовательно, возрастают расходы на проведение технологического процесса, что ведёт к удорожанию конечной продукции. Вот именно в этом случае чипмейкерам придется внимательнее присматриваться к EUV-литографии. Снижение себестоимости технологического процесса станет более существенным фактором, нежели высокие цены на оборудование.

Когда же дело дойдёт до производства 7-нм интегральных схем, тогда иммерсионная литография полностью станет нерентабельной. Для отдельных слоев количество итераций возрастёт до тринадцати при использовании иммерсионных степперов. Технологическое оборудование с применением крайнего ультрафиолета станет единственно возможным вариантом, позволяя выпускать до 1000 кремниевых пластин в сутки. Конечно, на пути победного шествия EUV-технологии ещё есть ряд препятствий, начиная от отсутствия достаточно надёжных и мощных источников излучения, заканчивая необходимостью радикального снижения дефектности фотошаблонов и необходимостью поиска новых резистов. Но в конечном итоге, технологические и технические трудности наверняка будут преодолены, и EUV-литография займет доминирующее положение на рынке. И точкой отсчета станет переход на 7-нм техпроцесс.

Популярные статьи

© 2024 sistemalaki.ru
Бизнес-идеи. Бизнес-планы. Франшизы. База знаний. Документы